ДЕРЕВО ТРАНЗИСТОРОВ ДЛЯ РЕАЛИЗАЦИИ СИСТЕМ ЛОГИЧЕСКИХ ФУНКЦИЙ

Аннотация


Анализируется ранее предложенный логический элемент для реализации систем функций в ПЛИС типа FPGA (field-programmable gate array) на основе модифицированного дерева транзисторов - дешифратора - DC LUT (Look Up Table). Конфигурируемые логические блоки (КЛБ) FPGA содержат логические элементы (ЛЭ) и элементы памяти (триггеры). Задание требуемой схемы осуществляется загрузкой файла конфигурации в ПЛИС, который определяет функции ЛЭ и необходимые связи глобальной и локальных матриц межсоединений. Сам файл конфигурации, созданный с помощью системы автоматизированного проектирования, например, Квартус2 фирмы «Альтера», передаётся с помощью специального последовательного интерфейса в загрузочное ПЗУ, имеющееся на плате ПЛИС. Передача файла конфигурации в ПЛИС из ПЗУ в ячейки конфигурационной памяти SRAM производится при включении питания. Логический элемент (ЛЭ) также имеет своей основой постоянное запоминающее устройство ПЗУ в виде дерева передающих транзисторов, называемое Logic Cell, а чаще - LUT - Look Up Table (просмотровая таблица - имеется в виду таблица истинности). Однако такой ЛЭ реализует только одну логическую функцию. Ранее предложено обратное дерево для реализации дешифратора DC, что позволяет реализовать с использованием дополнительных настраиваемых блоков ИЛИ, построенных также на передающих транзисторах, систему логических функций, что позволяет существенно сократить аппаратные затраты количества транзисторов при незначительном увеличении задержки. Уточняется структура блоков дизъюнкций конституент с учётом требования активирования только одного пути в схеме. Моделирование выполняется в системе схемотехнического моделирования NI Multisim 10 фирмы National Instruments Electronics Workbench Group и подтверждает работоспособность модифицированного технического решения.

Полный текст

Логический элемент (ЛЭ) программируемых логических схем (ПЛИС) FPGA (field-programmable gate array) имеет своей основой постоянное запоминающее устройство ПЗУ, называемое Logic Cell, а чаще - LUT - Look Up Table (просмотровая таблица - имеется в виду таблица истинности). LUT выполнен на основе мультиплексора, который строится в виде дерева из элементарных мультиплексоров 2-1 на базе передающих МОП-транзисторов, входы данных которого настраиваются так называемыми конфигурируемыми ячейками статической памяти SRAM [1]. На рис. 1 представлен пример LUT на два информационных (адресных) входа. Рис. 1. LUT на два информационных (адресных) входа - дерево 4-1 Загружая в конфигурационную память SRAM значения таблицы истинности логической функции двух переменных, можно реализовать 16 функций двух переменных F(Х2Х1). Стандартное число входов (адресных входов ПЗУ), как правило, равно четырём, однако современные «продвинутые» ПЛИС имеют сложные, перестраиваемые LUT с числом входов 6,7, например [2-4]. Имеются сообщения о LUT с числом входов, равным 8. Тем не менее, всегда реализуется только одна из возможных логических функций, информации о LUT, реализующей системы функций, автором найдено не было. 2. Логический элемент DC - LUT. Как известно, в КМДП-транзисторах, используемых в LUT, при одинаковых топологических характеристиках стоки и истоки транзисторов фактически эквивалентны. Транзисторная схема, «обратная» структуре LUT (см. рис. 1), может быть получена путём «разворота» LUT на 180 град (рис. 2) [5, 6]. Рис. 2. Транзисторная схема - дешифратор DC - LUT 2, структура, «обратная» структуре рис. 1 При этом, в соответствии с правилами проектирования цепей из передающих транзисторов [7] необходимо для каждого выходного инвертора на рис. 2 создать альтернативную цепочку, гарантированно переводящую его выход, например в единицу. Для этого используется выражение, двойственное конъюнкции, описывающей соответствующую цепочку в обратном дереве (рис. 3). Получаем дешифратор с выходными функциями z (без учёта альтернативных цепочек): Далее, m раз объединяя по ИЛИ соответствующие выходы из выходов, получим реализацию системы из m,n-разрядных логических функций на основе совершенных дизъюнктивных нормальных форм (СДНФ) [5, 6]. Для структуры, изображённой на рис. 2, могут программироваться связи выходов 0,1,2,3 с соответствующими элементами ИЛИ с целью реализации систем логических функций. Такое программирование может быть реализовано аналогично программированию межсоединений (рис. 4) [1]. Рис. 3. Нулевой разряд DC LUT2 с альтернативной цепочкой Рис. 4. Программируемые межсоединения с 16 направлений (SR0…15 конфигурационная память SRAM) Таким образом, блоки дизъюнкций конституент логической функции могут быть реализованы способом, изображённым на рис. 4. 3. Уточнение способа настройки блока дизъюнкций. Ранее предложенный блок дизъюнкций конституент логической функции имел вид, изображённый на рис. 5. Предполагалось, что настройка Н на реализацию требуемой дизъюнкции конституент, поступающих с модифицированного дерева транзисторов DC, осуществляется с помощью конфигурационной памяти [1, 8, 9], не изображённой на рис. 5. Однако такая реализация не удовлетворяет требованиям [7], так как могут активироваться одновременно более одного МОП-транзистора - настройка поступает на затворы, а на истоки поступают сигналы с модифицированного дерева транзисторов DC. Поэтому необходимо изменить схему блока дизъюнкций, и она примет вид, изображённый на рис. 6. Рис. 5. Исходная реализация блока дизъюнкций конституент логической функции Рис. 6. Уточнённая схема блока дизъюнкций конституент логической функции В схеме (см. рис. 6) сигналами с модифицированного дерева транзисторов DC активируется всегда только один затвор одного МОП-транзистора. 4. Моделирование логического элемента для реализации систем логических функций с уточнённой схемой блока дизъюнкций конституент логической функции. Выполним моделирование логического элемента для реализации систем логических функций с уточнённой схемой блока дизъюнкций конституент логической функции для n = 2 (рис. 7) в системе схемотехнического моделирования NI Multisim 10 фирмы National Instruments Electronics Workbench Group. На рис. 7 ключи X1, X2 моделируют переменные. Ключи S1-S4 моделируют настройку Н. Рис. 7. Логический элемент для реализации систем логических функций с уточнённой схемой блока дизъюнкций конституент логической функции для n = 2 с уточнённой схемой одного блока дизъюнкций конституент логической функции Управление затворами транзисторов блока дизъюнкций осуществляется инверторами, установленными на выходах «развёрнутого», по отношению к классическому LUT, дерева транзисторов. Причём альтернативные цепочки при неактивации путей в дереве обеспечивают подачу логической единицы. На рис. 7 указана настройка на реализацию функции «Исключающее ИЛИ» - горит светодиод на выходе блока ИЛИ, так как переменные Х1, Х2 имеют различное значение. Выполненное моделирование подтверждает работоспособность предлагаемого уточнённого технического решения блока дизъюнкций конституент DC-LUT, подана заявка на выдачу патента. Выводы. Таким образом, модифицирована структура предложенного логического элемента дешифратора - DC LUT для реализации систем функций в ПЛИС типа FPGA, который основан на схеме в виде дерева передающих МОП-транзисторов. Уточняется структура блоков дизъюнкций конституент с учётом требования активирования только одного пути в схеме. В изменённой схеме сигналы с модифицированного дерева транзисторов DC поступают на затворы МОП-транзистора блока дизъюнкций, а настроечная информация из конфигурационной памяти поступает на стоки этих транзисторов. Выполненное моделирование в системе схемотехнического моделирования NI Multisim 10 фирмы National Instruments Electronics Workbench Group подтверждает работоспособность предлагаемого нового технического решения DC-LUT, на которое подана соответствующая заявка на выдачу патента.

Об авторах

С. Ф Тюрин

Пермский национальный исследовательский политехнический университет

Email: tyurinsergfeo@yandex.ru

Список литературы

  1. Цыбин С. Программируемая коммутация ПЛИС: взгляд изнутри [Электронный ресурс]. - URL: http://www.kit-e.ru/articles/plis/ 2010_11_56.php (дата обращения: 16.12.2014).
  2. Самкова Е. Stratix IV против Virtex-5. Точка не поставлена [Электронный ресурс]. - URL: http://www.russianelectronics.ru/leader-r/review/2189/doc/46576/ (дата обращения: 16.01.2015).
  3. Aria II GX. Altera [Электронный ресурс]. - URL: http://icgamma.ru/linecard/altera/arria2gx/ (дата обращения: 16.01.2015).
  4. An Ultra-Low-Energy, Variation-Tolerant FPGA Architecture Using Component-Speci_c Mapping [Электронный ресурс]. - URL: http://thesis.library.caltech.edu/7226/ (дата обращения: 11.11.2014).
  5. Тюрин С.Ф. Логические элементы для реализации систем функций в ПЛИС FPGA // Проектирование и технология электронных средств. - 2013. - № 4. - С. 33-37.
  6. Системная реализация логики в ПЛИС FPGA / С.Ф. Тюрин, А.Ю. Городилов, О.А. Громов, А.А. Сулейманов // Вестник Пермского университета. Сер. Математика. Механика. Информатика. - 2013. - № 4. - С. 85-90.
  7. Ульман Дж. Д. Вычислительные аспекты СБИС / пер. с англ. А.В. Неймана; под ред. П.П. Пархоменко. - М.: Радио и связь, 1990. - 480 с.
  8. Tyurin S.F., Grekov A.V., Gromov O.A. The principle of recovery logic FPGA for critical applications by adapting to failures of logic elements // World Applied Sciences Journal. - 2013. - Vol. 26(3). - P. 328-332. doi: 10.5829/idosi.wasj.2013.26.03.13474
  9. Tyurin S.F., Gromov O.A. A residual basis search algorithm of fault-tolerant programmable logic integrated circuits // Russian Electrical Engineering. - 2013. - 84 (11). - P. 647-651. doi: 10.3103/S1068371213110163

Статистика

Просмотры

Аннотация - 16

PDF (Russian) - 8

Ссылки

  • Ссылки не определены.

© Тюрин С.Ф., 2015

Creative Commons License
Эта статья доступна по лицензии Creative Commons Attribution-NonCommercial 4.0 International License.

Данный сайт использует cookie-файлы

Продолжая использовать наш сайт, вы даете согласие на обработку файлов cookie, которые обеспечивают правильную работу сайта.

О куки-файлах